<html>
<head>
<style><!--
.hmmessage P
{
margin:0px;
padding:0px
}
body.hmmessage
{
font-size: 12pt;
font-family:Calibri
}
--></style></head>
<body class='hmmessage'><div dir='ltr'>Entschuldigung, voll vergessen :D <br>Hier:<br><br>/**<br> * vzlogger configuration<br> *<br> * use proper encoded JSON with javascript comments<br> *<br> * take a look at the wiki for detailed information:<br> * http://wiki.volkszaehler.org/software/controller/vzlogger#configuration<br> */<br><br>{<br>"retry" : 30,                      /* how long to sleep between failed requests, in seconds */<br>"daemon": true,                    /* run periodically */<br>"foreground" : false,              /* run in background */<br>"verbosity" : 9999,                   /* between 0 and 9999, je höher desto mehr Infos */<br>"log" : "/var/log/vzlogger.log",   /* path to logfile, optional */<br><br>"local" : {<br>        "enabled" : false,      /*  local HTTPd for serving live readings, 'false' für Daten an die middleware !!! */<br>        "port" : 80,            /* the TCP port for the local HTTPd */<br>        "index" : true,         /* should we provide a index listing of available channels if no UUID was requested? */<br>        "timeout" : 30,         /* timeout for long polling comet requests, 0 disables comet, in seconds */<br>        "buffer" : 600          /* how long to buffer readings for the local interface, in seconds */<br>          },<br><br>"meters" : [{                           /* Beispiel-Meter */<br>        "enabled" : true,               /* disabled meters will be ignored (default) */<br>        "protocol" : "d0",              /* see 'vzlogger -h' for list of available protocols */<br>        "device" : "/dev/ttyUSB0",<br>        "parity" : "7E1",               /* oder 8N1  */<br>        "baudrate" : 300,               /* oder 300  */<br>        "pullseq" : "2F3F210D0A",       /* Pullsequenz in 'hex' */<br> /*       "ackseq": "063030300d0a", */      /* Antwortsequenz auf Zählerantwort,063030300d0a = 300bd, 063035300d0a = 9600bd   */<br> /*       "baudrate_read": 300,   */        /* Baudratenumschaltung auf gewünschte Baudrate, abhängig von Zählerantwort */<br>        "aggtime" : 20,                 /* in Sekunden */<br>        "aggmode" : "AVG",              /* Mittelwert für Leistung, "MAX" für Zähler, "SUM" für Counter */<br>        "interval": 6,                  /* Wartezeit in Sekunden bis neue Werte in die middleware übertragen werden */<br>        "channel": {                  /* Beispiel-channel */<br>                    "uuid" : "b6d45920-1037-11e4-9697-4b5bcc96bac0",<br>                    "middleware" : "192.168.178.50/middleware.php",<br>                    "identifier" : 1.8.1 /* alias for '1.8.1', see 'vzlogger -h' for list of available aliases */<br>                   },<br>                   {                  /* Beispiel-channel */<br>                    "uuid" : "b6d45920-1037-11e4-9697-4b5bcc96bac0",<br>                    "middleware" : "192.168.178.50/middleware.php",<br>                    "identifier" : "2.8.1" /* alias for '2.8.1', see 'vzlogger -h' for list of available aliases */<br>                   }<br><br>          }]<br>}<br><br>Gruß<br>René<br>                                     </div></body>
</html>