<html>
  <head>
    <meta content="text/html; charset=utf-8" http-equiv="Content-Type">
  </head>
  <body bgcolor="#FFFFFF" text="#000000">
    <div class="moz-cite-prefix">Hallo Udo, Andras, Martin -<br>
      <br>
      Danke für eure Hinweise, ich komm erst jetzt wieder dazu, mir das
      anzuschauen.<br>
      <br>
    </div>
    <blockquote cite="mid:2a073391-4768-6fd7-2bc4-1f77b193d28f@gmx.net"
      type="cite">
      <blockquote type="cite">hab ich vor ein paar Tagen runterladen,
        "offiz. Quelle".
        <br>
      </blockquote>
      Ok, dann hast du Jessie-Light.
      <br>
    </blockquote>
    <br>
    <blockquote cite="mid:2a073391-4768-6fd7-2bc4-1f77b193d28f@gmx.net"
      type="cite">
      Jessie nutzt systemd deshalb der Aufruf von vzlogger hiernach:
      <br>
<a class="moz-txt-link-freetext" href="http://wiki.volkszaehler.org/software/controller/vzlogger/installation_cpp-version#systemd_start_script">http://wiki.volkszaehler.org/software/controller/vzlogger/installation_cpp-version#systemd_start_script</a>
      <br>
    </blockquote>
    Das dort beschriebene Skript vzlogger.service gabs schon, war alles
    ok.<br>
    <blockquote cite="mid:2a073391-4768-6fd7-2bc4-1f77b193d28f@gmx.net"
      type="cite">
      <br>
      In der /boot/config.txt solltest du folgendes am Ende eintragen:
      <br>
      max_usb_current=1
      <br>
      gpu_mem=0
      <br>
      dtparam=i2c_arm=on
      <br>
      dtparam=spi=off
      <br>
      enable_uart=1
      <br>
      <br>
    </blockquote>
    Ok, hab ich.<br>
    <blockquote cite="mid:2a073391-4768-6fd7-2bc4-1f77b193d28f@gmx.net"
      type="cite">'dtoverlay=w1-gpio,gpiopin=4,pullup=on' solltest du
      löschen. Du nutzt ja die Erweiterung und nicht den GPIO-Pin4 für
      1Wire.
      <br>
    </blockquote>
    ... die Zeile gibts in meiner config.txt gar nicht.<br>
    <blockquote cite="mid:2a073391-4768-6fd7-2bc4-1f77b193d28f@gmx.net"
      type="cite">
      <br>
      In der /etc/modules sollte folgendes drin stehen:
      <br>
      i2c-bcm2708
      <br>
      i2c-dev
      <br>
      ds2482
      <br>
      w1-therm
      <br>
      <br>
    </blockquote>
    Bei mir war noch zusätzlich<br>
    snd-bcm2835<br>
    aktiviert  (nicht gebrauchter Sound?), hab ich auskommentiert.<br>
    <br>
    <blockquote type="cite">In der /etc/rc.local sollte folgendes
      stehen:
      <br>
      <br>
      # Part of DS2482 I2C 1-Wire Master to Volkszaehler 'RaspberryPI
      deamon'.
      <br>
      <br>
      echo ds2482 0x18 > /sys/bus/i2c/devices/i2c-1/new_device
      <br>
      <br>
      # Register I2C RTC bei grosser neuer Erweiterung
      <br>
      echo ds1307 0x68 > /sys/bus/i2c/devices/i2c-1/new_device
      <br>
      <br>
    </blockquote>
    Da hab ich inzwischen noch einiges mehr ergänzt. Ich hab an 4 s0
    Eingängen Zähler hängen und nutze mehrere 1wire Eingänge, hoffe die
    Einstellungen unten sind deswegen korrekt:<br>
    <br>
    <tt>pi@BauratPi:~ $ cat /etc/rc.local</tt><tt><br>
    </tt><tt>#!/bin/sh -e</tt><tt><br>
    </tt><tt>#</tt><tt><br>
    </tt><tt># rc.local</tt><tt><br>
    </tt><tt>#</tt><tt><br>
    </tt><tt># This script is executed at the end of each multiuser
      runlevel.</tt><tt><br>
    </tt><tt># Make sure that the script will "exit 0" on success or any
      other</tt><tt><br>
    </tt><tt># value on error.</tt><tt><br>
    </tt><tt>#</tt><tt><br>
    </tt><tt># In order to enable or disable this script just change the
      execution</tt><tt><br>
    </tt><tt># bits.</tt><tt><br>
    </tt><tt>#</tt><tt><br>
    </tt><tt># By default this script does nothing.</tt><tt><br>
    </tt><tt><br>
    </tt><tt># Print the IP address</tt><tt><br>
    </tt><tt>_IP=$(hostname -I) || true</tt><tt><br>
    </tt><tt>if [ "$_IP" ]; then</tt><tt><br>
    </tt><tt>  printf "My IP address is %s\n" "$_IP"</tt><tt><br>
    </tt><tt>fi</tt><tt><br>
    </tt><tt><br>
    </tt><tt># Part of DS2482 I2C 1-Wire Master to Volkszaehler
      'RaspberryPI deamon'.</tt><tt><br>
    </tt><tt><br>
    </tt><tt>echo ds2482 0x18 > /sys/bus/i2c/devices/i2c-1/new_device</tt><tt><br>
    </tt><tt>echo ds2482 0x19 > /sys/bus/i2c/devices/i2c-1/new_device</tt><tt><br>
    </tt><tt>echo ds2482 0x1a > /sys/bus/i2c/devices/i2c-1/new_device</tt><tt><br>
    </tt><tt><br>
    </tt><tt><br>
    </tt><tt># Register I2C RTC bei grosser neuer Erweiterung</tt><tt><br>
    </tt><tt>echo ds1307 0x68 > /sys/bus/i2c/devices/i2c-1/new_device</tt><tt><br>
    </tt><tt><br>
    </tt><tt># GPIOs exportieren und Datenrichtung einstellen,
      'Schaltausgang_new'</tt><tt><br>
    </tt><tt>echo <font color="#ff0000" size="+3"><b>17</b></font> >
      /sys/class/gpio/export</tt><tt><br>
    </tt><tt>echo 18 > /sys/class/gpio/export</tt><tt><br>
    </tt><tt>echo 22 > /sys/class/gpio/export</tt><tt><br>
    </tt><tt>echo 23 > /sys/class/gpio/export</tt><tt><br>
    </tt><tt>echo 24 > /sys/class/gpio/export</tt><tt><br>
    </tt><tt>echo 27 > /sys/class/gpio/export</tt><tt><br>
    </tt><tt><br>
    </tt><tt>echo out > /sys/class/gpio/gpio<font color="#ff0000"
        size="+3"><b>17</b></font>/direction</tt><tt><br>
    </tt><tt>echo out > /sys/class/gpio/gpio18/direction</tt><tt><br>
    </tt><tt>echo out > /sys/class/gpio/gpio22/direction</tt><tt><br>
    </tt><tt>echo out > /sys/class/gpio/gpio23/direction</tt><tt><br>
    </tt><tt>echo out > /sys/class/gpio/gpio24/direction</tt><tt><br>
    </tt><tt>echo out > /sys/class/gpio/gpio27/direction</tt><tt><br>
    </tt><tt><br>
    </tt><tt># hwclock -s</tt><tt><br>
    </tt><tt><br>
    </tt><tt><br>
    </tt><tt>exit 0</tt><br>
    <br>
    Dazu noch gleich eine Frage: sind die rot markierten Werte dann auch
    genau die Werte, die in der vzlogger.conf als gpio "kanal"
    einzutragen sind (blau)?<br>
    <br>
    ... <br>
         "protocol": "s0",<br>
          "gpio": <b><font color="#3333ff" size="+2">17</font></b>,<br>
          "resolution": 1000,<br>
          "configureGPIO": true,<br>
          "debounce_delay": 0<br>
    <br>
    <br>
    Ich hab in meiner "Verzweiflung" inzwischen die Vorlage von hier:<br>
<a class="moz-txt-link-freetext" href="http://wiki.volkszaehler.org/hardware/controllers/raspberry_pi_erweiterung_mit_schaltausgaengen_rev.1#fertiges_image">http://wiki.volkszaehler.org/hardware/controllers/raspberry_pi_erweiterung_mit_schaltausgaengen_rev.1#fertiges_image</a><br>
    genommen und auf meine Werte angepasst. Das klappt jetzt zumindest
    mit den 1wire Temperatursensoren, evtl. war es auch der Hinweis von
    Martin, das "interval" auf 10 zu setzen.<br>
    <br>
    Generell: ich glaube, dass das "falsche" Restarten des vzloggers für
    die seltsamen Messergebnisse verantwortlich war.<br>
    mit 'sudo service vzlogger restart'  scheint es auf jeden Fall
    korrekt zu funktionieren.<br>
    <br>
    Auf den s0 Kanälen geht noch nicht alles. Es werden wohl laufend
    Ereignisse geholt, aber nur z.T. richtig zugewiesen bzw.
    ausgewertet.<br>
    <br>
    Erste Frage hab ich oben schon gestellt, bzw. noch etwas verändert:
    <br>
    woher weiß ich, welche GPIO Kanäle ich in der vzlogger.conf zuordnen
    kann? (-> die gleichen, die in rc.local erfasst werden, nehme ich
    an). Dann also die Frage anders: woher weiß ich, welche Kanäle ich
    in der rc.local erfassen muss?<br>
    <br>
    Zweite Frage: wie funktioniert die Aggregation bei diesen
    s0-Stromzählern? Was sind da sinnvolle Einstellungen?<br>
      {<br>
         // Heizungsstrom Waermepumpe GPIO 24<br>
          "enabled": true,<br>
          <b><font color="#ff0000">"allowskip": false,<br>
              "interval": -1,<br>
              "aggtime": -1,<br>
              "aggfixedinterval": false,</font></b><br>
          "channels": [<br>
            {<br>
              "uuid": "9a7ed6c0-f2dc-11e2-b13e-b9abb50897a8",<br>
              "identifier": "Impulse",<br>
              "api": "volkszaehler",<br>
              "middleware": <a class="moz-txt-link-rfc2396E" href="http://localhost/middleware.php">"http://localhost/middleware.php"</a>,<br>
            <font color="#ff0000"><b>  "aggmode": "none",</b><b><br>
      </b><b>          "duplicates": 0</b></font><br>
            }<br>
          ],<br>
          "protocol": "s0",<br>
          "gpio": 24,<br>
        <font color="#ff0000"><b>  "resolution": 1000,  // ok, hier muss
        man halt entweder rechnen oder spielen, bis es klappt, das krieg
        ich hin...</b></font><br>
          "configureGPIO": true,<br>
        <b><font color="#ff0000">  "debounce_delay": 0</font></b><br>
        },<br>
    <br>
    Im Anhang mal die komplette conf und das aktuelle Logfile (jetzt aus
    /tmp). <br>
    <br>
    Zwischenstand also: Land ist in Sicht, aber wie ich auf die GPIO
    Ports komm, ist mir noch unklar. Bedanke mich schon mal für die
    Hilfe!<br>
    <br>
    LG Heiko<br>
    <br>
  </body>
</html>